skip to main content
research-article
Public Access

Determining Application-specific Peak Power and Energy Requirements for Ultra-low Power Processors

Published: 04 April 2017 Publication History

Abstract

Many emerging applications such as IoT, wearables, implantables, and sensor networks are power- and energy-constrained. These applications rely on ultra-low-power processors that have rapidly become the most abundant type of processor manufactured today. In the ultra-low-power embedded systems used by these applications, peak power and energy requirements are the primary factors that determine critical system characteristics, such as size, weight, cost, and lifetime. While the power and energy requirements of these systems tend to be application-specific, conventional techniques for rating peak power and energy cannot accurately bound the power and energy requirements of an application running on a processor, leading to over-provisioning that increases system size and weight. In this paper, we present an automated technique that performs hardware-software co-analysis of the application and ultra-low-power processor in an embedded system to determine application-specific peak power and energy requirements. Our technique provides more accurate, tighter bounds than conventional techniques for determining peak power and energy requirements, reporting 15% lower peak power and 17% lower peak energy, on average, than a conventional approach based on profiling and guardbanding. Compared to an aggressive stressmark-based approach, our technique reports power and energy bounds that are 26% and 26% lower, respectively, on average. Also, unlike conventional approaches, our technique reports guaranteed bounds on peak power and energy independent of an application's input set. Tighter bounds on peak power and energy can be exploited to reduce system size, weight, and cost.

References

[1]
EEMBC, Embedded Microprocessor Benchmark Consortium. http://www.eembc.org.
[2]
International Technology Roadmap for Semiconductors 2.0 2015 Edition Executive Report. http://www.semiconductors.org/main/2015_international_technology_roadmap_for_semiconductors_itrs/.
[3]
Microcontroller Sales Regain Momentum After Slump. www.icinsights.com/news/bulletins/Microcontroller-Sales-Regian-Momentum-After-Slump.
[4]
Intel corporation: Intel pentium 4 processor in the 423-pin package thermal design guidelines, 2000.
[5]
Battery energy. http://www.aboutbatteries.com/Battery-Energy.html, 2015.
[6]
arm_mbedARM. ARM mbed IoT Device Platform. URL https://www.mbed.com/en/.
[7]
H. Blodget, M. Ballve, T. Danova, C. Smith, J. Heggestuen, M. Hoelzel, E. Adler, C. Weissman, H. King, N. Quah, J. Greenough, and J. Smith. The internet of everything: 2015. BI Intelligence, 2014.
[8]
J. Borgeson. Ultra-low-power pioneers: TI slashes total MCU power by 50 percent with new "Wolverine" MCU platform. Texas Instruments White Paper, 2012. URL http://www.ti.com/lit/wp/slay019a/slay019a.pdf.
[9]
R. E. Bryant. Symbolic Simulation -- Techniques and Applications. In Proceedings of the 27th ACM/IEEE Design Automation Conference, pages 517--521. ACM, 1991.
[10]
I. Buchmann. The Secrets of Battery Runtime. Battery University, 2016.
[11]
C. Cadar and K. Sen. Symbolic execution for software testing: Three decades later. Commun. ACM, 56 (2): 82--90, Feb. 2013. ISSN 0001-0782. 10.1145/2408776.2408795. URL http://doi.acm.org/10.1145/2408776.2408795.
[12]
Cadence. Encounter Digital Implementation User Guide. URL http://www.cadence.com/.
[13]
B. Calhoun, S. Khanna, Y. Zhang, J. Ryan, and B. Otis. System design principles combining sub-threshold circuit and architectures with energy scavenging mechanisms. In Circuits and Systems (ISCAS), Proceedings of 2010 IEEE International Symposium on, pages 269--272, May 2010. 10.1109/ISCAS.2010.5537887.
[14]
H. Cherupalli, R. Kumar, and J. Sartori. Exploiting dynamic timing slack for energy efficiency in ultra-low-power embedded systems. In Computer Architecture (ISCA), 2016 43th Annual International Symposium on. IEEE, 2016.
[15]
C. Compiling. Cloud Compiling. URL http://www.cloudcompiling.com/.
[16]
A. Dunkels, J. Eriksson, N. Finne, F. Osterlind, N. Tsiftes, J. Abeillé, and M. Durvy. Low-Power IPv6 for the internet of things. In Networked Sensing Systems (INSS), 2012 Ninth International Conference on, pages 1--6. IEEE, 2012.
[17]
D. Evans. The internet of things: How the next evolution of the internet is changing everything. April 2011.
[18]
T. Feng, L. C. Wang, K.-T. Cheng, M. Pandey, and M. S. Abadir. Enhanced symbolic simulation for efficient verification of embedded array systems. In Design Automation Conference, 2003. Proceedings of the ASP-DAC 2003. Asia and South Pacific, pages 302--307, Jan 2003. 10.1109/ASPDAC.2003.1195032.
[19]
K. Furset and P. Hoffman. High pulse drain impact on CR2032 coin cell battery capacity. Nordic Semiconductor and Energizer, 2011.
[20]
O. Girard. OpenMSP430 project. available at opencores.org, 2013.
[21]
K. Hamaguchi. Symbolic simulation heuristics for high-level design descriptions with uninterpreted functions. In High-Level Design Validation and Test Workshop, 2001. Proceedings. Sixth IEEE International, pages 25--30, 2001.
[22]
M. S. Hsiao. Peak power estimation using genetic spot optimization for large vlsi circuits. In Proceedings of the conference on Design, automation and test in Europe, page 38. ACM, 1999.
[23]
M. S. Hsiao, E. M. Rudnick, and J. H. Patel. K2: an estimator for peak sustainable power of vlsi circuits. In Low Power Electronics and Design, 1997. Proceedings., 1997 International Symposium on, pages 178--183. IEEE.
[24]
N. Instruments. Compile Faster with the LabVIEW FPGA Compile Cloud Service. URL http://www.ni.com/white-paper/52328/en/.
[25]
T. Instruments. eZ430-RF2500-SEH Solar Energy Harvesting Development Tool User's Guide. 2013.
[26]
P. Jain and G. Gopalakrishnan. Efficient symbolic simulation-based verification using the parametric form of boolean expressions. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 13 (8): 1005--1015, Aug 1994. ISSN 0278-0070. 10.1109/43.298036.
[27]
R. Jayaseelan, T. Mitra, and X. Li. Estimating the worst-case energy consumption of embedded software. In 12th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS'06), pages 81--90. IEEE, 2006.
[28]
Y. Kim, L. K. John, S. Pant, S. Manne, M. Schulte, W. L. Bircher, and M. S. S. Govindan. Audit: Stress testing the automatic way. In Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-45, pages 212--223, Washington, DC, USA, 2012. IEEE Computer Society. ISBN 978-0--7695--4924--8. 10.1109/MICRO.2012.28. URL http://dx.doi.org/10.1109/MICRO.2012.28.
[29]
A. Kolbi, J. Kukula, and R. Damiano. Symbolic RTL simulation. In Design Automation Conference, 2001. Proceedings, pages 47--52, 2001. 10.1109/DAC.2001.156106.
[30]
V. Kontorinis, A. Shayan, D. M. Tullsen, and R. Kumar. Reducing peak power with a table-driven adaptive processor core. In Proceedings of the 42Nd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 42, pages 189--200, New York, NY, USA, 2009. ACM. ISBN 978--1--60558--798--1. 10.1145/1669112.1669137. URL http://doi.acm.org/10.1145/1669112.1669137.
[31]
L. Liu and S. Vasudevan. Efficient validation input generation in RTL by hybridized source code analysis. In Design, Automation Test in Europe Conference Exhibition (DATE), 2011, pages 1--6, March 2011. 10.1109/DATE.2011.5763253.
[32]
M. Magno, L. Benini, C. Spagnol, and E. Popovici. Wearable low power dry surface wireless sensor node for healthcare monitoring application. In Wireless and Mobile Computing, Networking and Communications (WiMob), 2013 IEEE 9th International Conference on, pages 189--195. IEEE, 2013.
[33]
J. Morse, S. Kerrison, and K. Eder. On the infeasibility of analysing worst-case dynamic energy. pharXiv preprint arXiv:1603.02580, 2016.
[34]
K. Najeeb, V. Vardhan, R. Konda, S. Kumar, S. Hari, V. Kamakoti, and V. M. Vedula. Power virus generation using behavioral models of circuits. In VLSI Test Symposium, 2007. 25th IEEE, pages 35--42, May 2007. 10.1109/VTS.2007.49.
[35]
J. A. Paradiso and T. Starner. Energy scavenging for mobile and wireless electronics. IEEE Pervasive Computing, 4 (1): 18--27, Jan 2005. 10.1109/MPRV.2005.9.
[36]
C. Park, P. H. Chou, Y. Bai, R. Matthews, and A. Hibbs. An ultra-wearable, wireless, low power ECG monitoring system. In Biomedical Circuits and Systems Conference, 2006. BioCAS 2006. IEEE, pages 241--244. IEEE, 2006.
[37]
G. Press. Internet of Things By The Numbers: Market Estimates And Forecasts. Forbes, 2014.
[38]
S. Sambamurthy, S. Gurumurthy, R. Vemu, and J. A. Abraham. Functionally valid gate-level peak power estimation for processors. In Quality of Electronic Design, 2009. ISQED 2009. Quality Electronic Design, pages 753--758. IEEE, 2009.
[39]
J. Sartori and R. Kumar. Distributed peak power management for many-core architectures. In Design, Automation Test in Europe Conference Exhibition, 2009. DATE '09., pages 1556--1559, April 2009. 10.1109/DATE.2009.5090910.
[40]
K. Seth, A. Anantaraman, F. Mueller, and E. Rotenberg. Fast: Frequency-aware static timing analysis. ACM Transactions on Embedded Computing Systems (TECS), 5 (1): 200--224, 2006.
[41]
Synopsys. Design Compiler User Guide,natexlaba. URL http://www.synopsys.com/.
[42]
Synopsys. PrimeTime User Guide,natexlabb. URL http://www.synopsys.com/.
[43]
R. Tessier, D. Jasinski, A. Maheshwari, A. Natarajan, W. Xu, and W. Burleson. An energy-aware active smart card. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, 13 (10): 1190--1199, 2005.
[44]
P. Wägemann, T. Distler, T. Hönig, H. Janker, R. Kapitza, and W. Schröder-Preikschat. Worst-case energy consumption analysis for energy-constrained embedded systems. In 2015 27th Euromicro Conference on Real-Time Systems, pages 105--114. IEEE, 2015.
[45]
C.-Y. Wang and K. Roy. Maximum power estimation for cmos circuits using deterministic and statistical approaches. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, 6 (1): 134--140, 1998.
[46]
Wikipedia. List of wireless sensor nodes, 2016. URL https://en.wikipedia.org/wiki/List_of_wireless_sensor_nodes. [Online; accessed 7-April-2016].
[47]
R. Yu and T. Watteyne. Reliable, Low Power Wireless Sensor Networks for the Internet of Things: Making Wireless Sensors as Accessible as Web Servers. Linear Technology, 2013. URL http://cds.linear.com/docs/en/white-paper/wp003.pdf.
[48]
B. Zhai, S. Pant, L. Nazhandali, S. Hanson, J. Olson, A. Reeves, M. Minuth, R. Helfand, T. Austin, D. Sylvester, et al. Energy-efficient subthreshold processor design. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, 17 (8): 1127--1137, 2009.
[49]
Y. Zhang, Z. Chen, and J. Wang. Speculative symbolic execution. In Software Reliability Engineering (ISSRE), 2012 IEEE 23rd International Symposium on, pages 101--110, 2012. 10.1109/ISSRE.2012.8.

Cited By

View all
  • (2022)When Tiny Goes BigGetMobile: Mobile Computing and Communications10.1145/3511285.351128925:3(12-17)Online publication date: 11-Jan-2022
  • (2019)Supporting peripherals in intermittent systems with just-in-time checkpointsProceedings of the 40th ACM SIGPLAN Conference on Programming Language Design and Implementation10.1145/3314221.3314613(1101-1116)Online publication date: 8-Jun-2019
  • (2019)A Study of Core Utilization and Residency in Heterogeneous Smart Phone ArchitecturesProceedings of the 2019 ACM/SPEC International Conference on Performance Engineering10.1145/3297663.3310304(67-78)Online publication date: 4-Apr-2019
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ASPLOS '17: Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems
April 2017
856 pages
ISBN:9781450344654
DOI:10.1145/3037697
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

In-Cooperation

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 04 April 2017

Permissions

Request permissions for this article.

Check for updates

Badges

  • Best Paper

Author Tags

  1. embedded computing
  2. energy management
  3. internet of things (iot)
  4. power

Qualifiers

  • Research-article

Funding Sources

Conference

ASPLOS '17

Acceptance Rates

ASPLOS '17 Paper Acceptance Rate 53 of 320 submissions, 17%;
Overall Acceptance Rate 535 of 2,713 submissions, 20%

Upcoming Conference

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)159
  • Downloads (Last 6 weeks)29
Reflects downloads up to 21 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2022)When Tiny Goes BigGetMobile: Mobile Computing and Communications10.1145/3511285.351128925:3(12-17)Online publication date: 11-Jan-2022
  • (2019)Supporting peripherals in intermittent systems with just-in-time checkpointsProceedings of the 40th ACM SIGPLAN Conference on Programming Language Design and Implementation10.1145/3314221.3314613(1101-1116)Online publication date: 8-Jun-2019
  • (2019)A Study of Core Utilization and Residency in Heterogeneous Smart Phone ArchitecturesProceedings of the 2019 ACM/SPEC International Conference on Performance Engineering10.1145/3297663.3310304(67-78)Online publication date: 4-Apr-2019
  • (2019)Understanding Energy Efficiency in IoT App Executions2019 IEEE 39th International Conference on Distributed Computing Systems (ICDCS)10.1109/ICDCS.2019.00079(742-755)Online publication date: Jul-2019
  • (2018)Adaptive dynamic checkpointing for safe efficient intermittent computingProceedings of the 13th USENIX conference on Operating Systems Design and Implementation10.5555/3291168.3291178(129-144)Online publication date: 8-Oct-2018
  • (2018)A Reconfigurable Energy Storage Architecture for Energy-harvesting DevicesACM SIGPLAN Notices10.1145/3296957.317321053:2(767-781)Online publication date: 19-Mar-2018
  • (2018)Enhancing workload-dependent voltage scaling for energy-efficient ultra-low-power embedded systemsProceedings of the 55th Annual Design Automation Conference10.1145/3195970.3196046(1-6)Online publication date: 24-Jun-2018
  • (2018)Termination checking and task decomposition for task-based intermittent programsProceedings of the 27th International Conference on Compiler Construction10.1145/3178372.3179525(116-127)Online publication date: 24-Feb-2018
  • (2018)A Reconfigurable Energy Storage Architecture for Energy-harvesting DevicesProceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems10.1145/3173162.3173210(767-781)Online publication date: 19-Mar-2018
  • (2018)Symmetric 2-D-Memory Access to Multidimensional DataIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2018.280130226:6(1040-1050)Online publication date: Jun-2018
  • Show More Cited By

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media