skip to main content
research-article

metroII: A design environment for cyber-physical systems

Published: 21 March 2013 Publication History

Abstract

Cyber-Physical Systems are integrations of computation and physical processes and as such, will be increasingly relevant to industry and people. The complexity of designing CPS resides in their heterogeneity. Heterogeneity manifest itself in modeling their functionality as well as in the implementation platforms that include a multiplicity of components such as microprocessors, signal processors, peripherals, memories, sensors and actuators often integrated on a single chip or on a small package such as a multi-chip module. We need a methodology, tools and environments where heterogeneity can be dealt with at all levels of abstraction and where different tools can be integrated. We present here Platform-Based Design as the CPS methodology of choice and metroII, a design environment that supports it. We present the metamodeling approach followed in metroII, how to couple the functionality and implementation platforms of CPS, and the simulation technology that supports the analysis of CPS and of their implementation. We also present examples of use and the integration of metroII with another popular design environment developed at Verimag, BIP.

References

[1]
3rd Generation Partnership Project. 2004. General universal mobile telecommunications system (UMTS) architecture (release 6). Technical Specification TS 23.101, 3GPP.
[2]
Alexander, P. 2006. System Level Design with Rosetta. Elsevier.
[3]
Bakshi, A., Prasanna, V. K., Ledeczi, A., Mathur, V., Mohanty, S., Raghavendra, C. S., Singh, M., Agrawal, A., Davis, J., Eames, B., Neema, S., and Nordstrom, G. 2001. MILAN: A model based integrated simulation framework for design of embedded systems. In Proceedings of the Workshop on Languages, Compilers and Tools for Embedded Systems (LCTES'01).
[4]
Balarin, F., Chiodo, M., Giusto, P., Hsieh, H., Jurecska, A., Lavagno, L., Passerone, C., Sangiovanni-Vincentelli, A., Sentovich, E., Suzuki, K., and Tabbara, B. 1997. Hardware-Software Co-Design of Embedded Systems: The Polis Approach. Kluwer Academic Press.
[5]
Balarin, F., Lavagno, L., Passerone, C., Sangiovanni-Vincentelli, A. L., Sgroi, M., and Watanabe, Y. 2002. Modeling and designing heterogeneous systems. In Advances in Concurrency and System Design, J. Cortadella and A. Yakovlev, Eds., Springer-Verlag.
[6]
Balarin, F. and Passerone, R. 2007. Specification, synthesis and simulation of transactor processes. IEEE Trans. Comput. Aided Des. Integrat. Circuits Syst. 26, 10, 1749--1762.
[7]
Balarin, F., Passerone, R., Pinto, A., and Sangiovanni-Vincentelli, A. L. 2005. A formal approach to system level design: Metamodels and unified design environments. In Proceedings of the 3rd ACM and IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE'05). 155--163.
[8]
Balarin, F., Watanabe, Y., Hsieh, H., Lavagno, L., Passerone, C., and Sangiovanni-Vincentelli, A. 2003. Metropolis: An integrated electronic system design environment. Computer Mag. 45--52.
[9]
Basu, A., Bozga, M., and Sifakis, J. 2006. Modeling heterogeneous real-time components in BIP. In Proceedings of the 4th IEEE International Conference on Software Engineering and Formal Methods (SEFM06). 3--12.
[10]
Batcher, K. E. 1968. Sorting networks and their applications. In Proceedings of the Spring Joint Computer Conference (AFIPS'68). ACM, New York, NY, 307--314.
[11]
Bonakdarpour, B., Bozga, M., Jaber, M., Quilbeuf, J., and Sifakis, J. 2010. From high-level component-based models to distributed implementations. In Proceedings of the Conference Embedded Software (EMSOFT'10). ACM.
[12]
Brooks, C., Lee, E., Liu, X., Neuendorffer, S., Zhao, Y., and (eds.), H. Z. 2005. Heterogeneous concurrent modeling and design in Java (Volume 1: Introduction to Ptolemy II). Tech. rep. UCB/ERL M05/21, University of California, Berkeley.
[13]
Cancila, D., Passerone, R., Vardanega, T., and Panunzio, M. 2010. Toward correctness in the specification and handling of non-functional attributes of high-integrity real-time embedded systems. IEEE Trans. Industrial Infor. 6, 2, 181--194.
[14]
Carloni, L. P., Bernardinis, F. D., Sangiovanni-Vincentelli, A. L., and Sgroi, M. 2002. The art and science of integrated systems design. In Proceedings of the 28th European Solid-State Circuits Conference (ESSCIRC 02).
[15]
Carloni, L. P., Passerone, R., Pinto, A., and Sangiovanni-Vincentelli, A. L. 2006. Languages and Tools for Hybrid Systems Design. Foundations and Trends in Electronic Design Automation Series, vol. 1, Now Publishers.
[16]
Davare, A., Densmore, D., Meyerowitz, T., Pinto, A., Sangiovanni-Vincentelli, A., Yang, G., Zeng, H., and Zhu, Q. 2007. A next-generation design framework for platform-based design. In Proceedings DVCon. 239--245.
[17]
Densmore, D., Donlin, A., and Sangiovanni-Vincentelli, A. L. 2006a. FPGA architecture characterization for system level performance analysis. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE06).
[18]
Densmore, D., Passerone, R., and Sangiovanni-Vincentelli, A. L. 2006b. A platform-based taxonomy for ESL design. IEEE Des. Test Computers 23, 5, 359--374.
[19]
Grötker, T., Liao, S., Martin, G., and Swan, S. 2002. System Design with SystemC. Kluwer Academic Publishers, Norwell, MA.
[20]
Jantsch, A. 2003. Modeling Embedded Systems and SOC's: Concurrency and Time in Models of Computation. Morgan Kaufmann Publishers.
[21]
Kahn, G. 1974. The semantics of a simple language for parallel programming. In Proceedings of the IFIP Congress 74. J. L. Rosenfeld, Ed., 471--475.
[22]
Karsai, G., Sztipanovits, J., Ledeczi, A., and Bapty, T. 2003. Model-integrated development of embedded software. Proc. IEEE 91, 1.
[23]
Kong, C. and Alexander, P. 2003. The Rosetta meta-model framework. In Proceedings of the IEEE Engineering of Computer-Based Systems Symposium and Workshop.
[24]
Ledeczi, A., Davis, J., Neema, S., and Agrawal, A. 2003. Modeling methodology for integrated simulation of embedded systems. ACM Trans. Model. Comput. Simul. 13, 1, 82--103.
[25]
Lee, E. A. and Sangiovanni-Vincentelli, A. L. 1998. A framework for comparing models of computation. IEEE Trans. Comput. Aided Des. Integrat. Circuits Syst. 17, 12, 1217--1229.
[26]
Mathaikutty, D., Patel, H., and Shukla, S. 2004a. EWD: A metamodeling driven customizable multi-MoC system modeling environment. FERMAT Tech. rep. 2004-20, Virginia Tech.
[27]
Mathaikutty, D. A., Patel, H., and Shukla, S. 2004b. A functional programming framework of heterogeneous model of computation for system design. In Proceedings of the Forum on Specification and Design Languages (FDL'04).
[28]
Mathaikutty, D. A., Patel, H. D., Shukla, S. K., and Jantsch, A. 2006. UMoC++: A C++-based multi-MoC modeling environment. In Application of Specification and Design Languages for SoCs - Selected Paper from FDL 2005, A. Vachoux, Ed., Springer, 115--130.
[29]
MDA 2003. MDA guide version 1.0.1. Tech. rep. omg/2003-06-01, OMG.
[30]
Meyerowitz, T., Sangiovanni-Vincentelli, A., Sauermann, M., and Langen, D. 2008. Source level timing annotation and simulation for a heterogeneous multiprocessor. In Proceedings of DATE08.
[31]
Neema, S., Sztipanovits, J., and Karsai, G. 2003. Constraint-based design-space exploration and model synthesis. In Proceedings of the 3rd International Conference on Embedded Software (EMSOFT03).
[32]
OCL 2006. Object constraint language, version 2.0. OMG Available Specification formal/06-05-01, Object Management Group.
[33]
Passerone, R., Alfaro, L. d., Henzinger, T. A., and Sangiovanni-Vincentelli, A. L. 2002. Convertibility verification and converter synthesis: Two faces of the same coin. In Proceedings of the 20th IEEE/ACM International Conference on Computer-Aided Design (ICCAD02). 132--139.
[34]
Passerone, R., Burch, J. R., and Sangiovanni-Vincentelli, A. L. 2007. Refinement preserving approximations for the design and verification of heterogeneous systems. Formal Methods Syst. Des. 31, 1, 1--33.
[35]
Passerone, R., Hafaiedh, I. B., Graf, S., Benveniste, A., Cancila, D., Cuccuru, A., Gérard, S., Terrier, F., Damm, W., Ferrari, A., Mangeruca, L., Josko, B., Peikenkamp, T., and Sangiovanni-Vincentelli, A. 2009. Metamodels in europe: Languages, tools, and applications. IEEE Des. Test Comput. 26, 3, 38--53.
[36]
Patel, H. D., Shukla, S. K., and Bergamaschi, R. A. 2007. Heterogeneous behavioral hierarchy extensions for SystemC. IEEE Trans. Comput. Aided Des. Integrat. Circuits Syst. 26, 4, 765--780.
[37]
Pinto, A., Bonivento, A., Sangiovanni-Vincentelli, A. L., Passerone, R., and Sgroi, M. 2006. System level design paradigms: Platform-based design and communication synthesis. ACM Trans. Des. Automation Electron. Syst. 11, 3, 537--563.
[38]
Raclet, J.-B., Badouel, E., Benveniste, A., Caillaud, B., Legay, A., and Passerone, R. 2011. A modal interface theory for component-based design. Fundamenta Informaticae 108, 1--2, 119--149.
[39]
Sander, I. and Jantsch, A. 2004. System modeling and transformational design refinement in ForSyDe. IEEE Trans. Comput. Aided Des. Integrat. Circuits Syst. 23, 1, 17--32.
[40]
Sangiovanni-Vincentelli, A., Damm, W., and Passerone, R. 2012. Taming Dr. Frankenstein: Contract-based design for cyber-physical systems. European J. Control 18, 3.
[41]
Sangiovanni-Vincentelli, A., Yang, G., Shukla, S. K., Sztipanovits, J., and Mathaikutty, D. A. 2009. Metamodeling: An emerging representation paradigm for system-level design. IEEE Des. Test Comput. 26, 3, 54--69.
[42]
Sangiovanni-Vincentelli, A. L. 2002. Defining platform-based design. EEdesign.
[43]
Simalatsar, A., Densmore, D., and Passerone, R. 2008. A methodology for architecture exploration and performance analysis using system level design languages and rapid architecture profiling. In Proceedings of the 3rd International IEEE Symposium on Industrial Embedded Systems (SIES08).

Cited By

View all
  • (2024)Efficient Encodings for Scalable Exploration of Cyber-Physical System ArchitecturesIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.330671743:1(30-43)Online publication date: Jan-2024
  • (2022)Codesign of Architecture, Control, and Scheduling of Modular Cyber-Physical Production Systems for Design Space ExplorationIEEE Transactions on Industrial Informatics10.1109/TII.2021.309776118:4(2287-2296)Online publication date: Apr-2022
  • (2022)Organizing the fragmented landscape of multidisciplinary product development: a mapping of approaches, processes, methods and tools from the scientific literatureResearch in Engineering Design10.1007/s00163-022-00389-w33:3(307-349)Online publication date: 17-May-2022
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Transactions on Embedded Computing Systems
ACM Transactions on Embedded Computing Systems  Volume 12, Issue 1s
Special section on ESTIMedia'12, LCTES'11, rigorous embedded systems design, and multiprocessor system-on-chip for cyber-physical systems
March 2013
701 pages
ISSN:1539-9087
EISSN:1558-3465
DOI:10.1145/2435227
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 21 March 2013
Received: 01 December 2012
Accepted: 01 October 2012
Revised: 01 August 2012
Published in TECS Volume 12, Issue 1s

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Cyber-Physical Systems
  2. Heterogeneous Embedded Systems
  3. Modeling
  4. Multiprocessor
  5. Platform-Based Design
  6. System-on-Chip

Qualifiers

  • Research-article
  • Research
  • Refereed

Funding Sources

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)13
  • Downloads (Last 6 weeks)1
Reflects downloads up to 19 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2024)Efficient Encodings for Scalable Exploration of Cyber-Physical System ArchitecturesIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.330671743:1(30-43)Online publication date: Jan-2024
  • (2022)Codesign of Architecture, Control, and Scheduling of Modular Cyber-Physical Production Systems for Design Space ExplorationIEEE Transactions on Industrial Informatics10.1109/TII.2021.309776118:4(2287-2296)Online publication date: Apr-2022
  • (2022)Organizing the fragmented landscape of multidisciplinary product development: a mapping of approaches, processes, methods and tools from the scientific literatureResearch in Engineering Design10.1007/s00163-022-00389-w33:3(307-349)Online publication date: 17-May-2022
  • (2021)Behavioural contracts for linear dynamical systems: input assumptions and output guarantees2021 European Control Conference (ECC)10.23919/ECC54610.2021.9654875(567-572)Online publication date: 29-Jun-2021
  • (2021)Tool Integration for Automated Synthesis of Distributed Embedded ControllersACM Transactions on Cyber-Physical Systems10.1145/34774996:1(1-31)Online publication date: 23-Nov-2021
  • (2021)Automatic Generation of Workflows for Efficient Design Space Exploration for Cyber-Physical Systems2021 IEEE International Conferences on Internet of Things (iThings) and IEEE Green Computing & Communications (GreenCom) and IEEE Cyber, Physical & Social Computing (CPSCom) and IEEE Smart Data (SmartData) and IEEE Congress on Cybermatics (Cybermatics)10.1109/iThings-GreenCom-CPSCom-SmartData-Cybermatics53846.2021.00062(346-351)Online publication date: Dec-2021
  • (2020)Heterogeneous co-simulation for embedded and cyber-physical systems designSIMULATION10.1177/0037549720921945(003754972092194)Online publication date: 1-Jun-2020
  • (2020)Automating test schedule generation with domain-specific languagesProceedings of the 23rd ACM/IEEE International Conference on Model Driven Engineering Languages and Systems10.1145/3365438.3410991(320-331)Online publication date: 16-Oct-2020
  • (2020)Optimized Selection of Reliable and Cost-Effective Safety-Critical System ArchitecturesIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2019.296325539:10(2109-2123)Online publication date: Oct-2020
  • (2019)Optimization techniques for time-critical cyber-physical systemsProceedings of the Workshop on Design Automation for CPS and IoT10.1145/3313151.3313168(41-50)Online publication date: 15-Apr-2019
  • Show More Cited By

View Options

Get Access

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media