skip to main content
research-article

Evaluation of voltage stacking for near-threshold multicore computing

Published: 30 July 2012 Publication History

Abstract

This paper evaluates voltage stacking in the context of near-threshold multicore computing. Key attributes of voltage stacking are investigated using results from a test-chip prototype built in 150nm FDSOI CMOS. By "stacking" logic blocks on top of each other, voltage stacking reduces the chip current draw and simplifies off-chip power delivery but within-die voltage noise due to inter-layer current mismatch is an issue. Results show that unlike conventional power delivery schemes, supply rail impedance in voltage stacked systems depend on aggregate power consumption, leading to better noise immunity for high power (low impedance) operation for many-core processors.

References

[1]
E. Alon and M. Horowitz. Integrated regulation for energy-efficient digital circuits. IEEE J. Solid-State Circuits, 43(8):1795--1807, August 2008.
[2]
L. Chang, R. K. Montoye, B. L. Ji, A. J. Weger, K. G. Stawiasz, and R. H. Dennard. A fully-integrated switched-capacitor 2:1 voltage converter with regulation capability and 90% efficiency at 2.3A/mm2. IEEE Symp. VLSI Circuits, pages 55--56, June 2010.
[3]
R. G. Dreslinski, M. Wieckowski, D. Blaauw, D. Sylvester, and T. Mudge. Near-threshold computing: reclaiming Moore's law through energy efficient integrated circuits. Proceedings of the IEEE, 98(2):253--266, February 2010.
[4]
H. Esmaeilzadeh, E. Blem, R. St. Amant, K. Sankaralingam, and D. Burger. Dark silicon and the end of multicore scaling. Proc. 38th Int'l Symp. Computer Architecture, ACM Press, pages 365--376, June 2011.
[5]
W. Kim, D. Brooks, and G.-Y. Wei. A fully-integrated 3-level dc/dc converter for nanosecond-scale dvs with fast shunt regulation. IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, pages 268--270, February 2011.
[6]
M. Nagata, T. Okumoto, and K. Taki. A built-in technique for probing power supply and ground noise distribution within large-scale digital integrated circuits. IEEE J. Solid-State Circuits, 40(4):813--819, April 2005.
[7]
L. S. Nielsen, C. Niessen, J. Sparso, and K. van Berkel. Low-power operation using self-timed circuits and adaptive scaling of the supply voltage. IEEE Transactions on VLSI Systems, 2(4):391--397, 1994.
[8]
S. Rajapandian, K. L. Shepard, P. Hazucha, and T. Karnik. High-voltage power delivery through charge recycling. IEEE J. Solid-State Circuits, 41(6):1400--1410, June 2006.

Cited By

View all
  • (2024)Voltage Stacking: A First-Order Modelization of an m × n Asynchronous Array for Chip and Architectural Design ExplorationJournal of Low Power Electronics and Applications10.3390/jlpea1403004414:3(44)Online publication date: 27-Aug-2024
  • (2022)Converter Topologies for On-Package Voltage Stacking2022 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS48785.2022.9937679(546-550)Online publication date: 28-May-2022
  • (2020)Voltage-Stacked Power Delivery Systems: Reliability, Efficiency, and Power ManagementIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.296960739:12(5142-5155)Online publication date: Dec-2020
  • Show More Cited By

Index Terms

  1. Evaluation of voltage stacking for near-threshold multicore computing

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISLPED '12: Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design
    July 2012
    438 pages
    ISBN:9781450312493
    DOI:10.1145/2333660
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 30 July 2012

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. near-threshold computing
    2. power delivery
    3. power management
    4. voltage stacking

    Qualifiers

    • Research-article

    Conference

    ISLPED'12
    Sponsor:
    ISLPED'12: International Symposium on Low Power Electronics and Design
    July 30 - August 1, 2012
    California, Redondo Beach, USA

    Acceptance Rates

    Overall Acceptance Rate 398 of 1,159 submissions, 34%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)12
    • Downloads (Last 6 weeks)2
    Reflects downloads up to 24 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Voltage Stacking: A First-Order Modelization of an m × n Asynchronous Array for Chip and Architectural Design ExplorationJournal of Low Power Electronics and Applications10.3390/jlpea1403004414:3(44)Online publication date: 27-Aug-2024
    • (2022)Converter Topologies for On-Package Voltage Stacking2022 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS48785.2022.9937679(546-550)Online publication date: 28-May-2022
    • (2020)Voltage-Stacked Power Delivery Systems: Reliability, Efficiency, and Power ManagementIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.296960739:12(5142-5155)Online publication date: Dec-2020
    • (2020)Modeling and Design of Switched-Capacitor Converters for Voltage Stacking2020 IEEE 9th International Power Electronics and Motion Control Conference (IPEMC2020-ECCE Asia)10.1109/IPEMC-ECCEAsia48364.2020.9367863(503-510)Online publication date: 29-Nov-2020
    • (2019)A 16-nm Always-On DNN Processor With Adaptive Clocking and Multi-Cycle Banked SRAMsIEEE Journal of Solid-State Circuits10.1109/JSSC.2019.291309854:7(1982-1992)Online publication date: Jul-2019
    • (2018)GPU NTC Process Variation Compensation With Voltage StackingIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2018.283166526:9(1713-1726)Online publication date: Sep-2018
    • (2018)Voltage-stacked GPUsProceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture10.1109/MICRO.2018.00039(390-402)Online publication date: 20-Oct-2018
    • (2018)Workload- and process-variation aware voltage/frequency tuning for energy efficient performance sustainability of NTC manycoresIntegration10.1016/j.vlsi.2018.02.013Online publication date: Mar-2018
    • (2017)A 16-Core Voltage-Stacked System With Adaptive Clocking and an Integrated Switched-Capacitor DC–DC ConverterIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.263380525:4(1271-1284)Online publication date: 1-Apr-2017
    • (2016)A Series-Stacked Power Delivery Architecture With Isolated Differential Power Conversion for Data CentersIEEE Transactions on Power Electronics10.1109/TPEL.2015.246480531:5(3690-3703)Online publication date: May-2016
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media