×

Application of optical proximity correction technology. (English) Zbl 1160.78311

Summary: As process technology scales down to very deep sub-micron (VDSM) in semiconductor manufacturing technology, intrinsic size becomes close to or even shorter than the wavelength used for optical lithography. Thus, some distortions and deformations are introduced by optical proximity effects (OPE) mainly caused by the diffraction and interference of exposure light when layout patterns on a mask are transcribed to a wafer, which influence on the yield and performance of IC circuit. In order to compensate for the deformations, optical proximity correction (OPC) is the most commonly used methodology. Presently, the OPC method is to use a unitary toleration on the whole chip layer, which makes the run time of OPC algorithm longer, causes the size of GDSII files to follow exponential growth, and results in the cost of making mask grow immensely. Firstly, this paper proposes a self-adaptation OPC method with preprocessing function of patterns classification. According to the need of the correction precision, the OPC system divides patterns corrected into two groups with different toleration: critical patterns and general patterns, which enhance the efficiency of the OPC approach. Secondly, a model-based OPC method is presented based on pattern subsection and classification, which keeps the precision of the correction as well as enhances the efficiency. We also propose a rule-based OPC method with general, concise and complete correction rules, and achieve automatic-built rules-based and its looking-up. Thirdly, we also implement an OPC system, called MR-OPC; the MR-OPC system integrates both rule-based OPC and model-based OPC into a whole, so it can solve the confliction between the efficiency and precision. Experimental results show that the MR-OPC system we suggested has advantages of the efficiency and expansibility.

MSC:

78A55 Technical applications of optics and electromagnetic theory
Full Text: DOI

References:

[1] The International Technology Roadmap for Semiconductors (ITRS), http://public.itrs.net/ , 2004updat.
[2] Kahng A B, Pati Y C. Sub-wavelength optical lithography: Challenges and impact on physical design. In: Proceeding of the International Symposium on Physical Design. New York: ACM Press, 1999. 112–119
[3] Cong J. Challenges and opportunities for design innovations in nanometer technologies. SRC Design Sciences Concept Paper. 1997
[4] Chen J F, Laidig T L, Wampler K E, et al. Practical method for full-chip optical proximity correction. SPIE, 1997, 3051: 790–803 · doi:10.1117/12.276060
[5] Dolainsky D, Maurer W. Application of a simple resist model to fast optical proximity correction. SPIE, 1997, 3051: 774–780 · doi:10.1117/12.275995
[6] Berman P, Kahng A B, Vidhani D, et al. Optimal phase conflict removal for layout of dark field alternating phase shifting masks. IEEE Trans Computer-aided Design of Integrated Circuits and Systems, 2000, 19(2): 175–187 · doi:10.1109/43.828546
[7] Liu Y, Zakhor A. Binary and phase shifting mask design for optical lithography. IEEE Trans Semicond Manufact, 1992, 5(2): 138–152 · doi:10.1109/66.136275
[8] Liu Y, Zakhor A, Zuniga M A. Computer-aided phase shift mask design with reduced complexity. IEEE Trans Semicond Manufact, 1996, 9(2): 170–181 · doi:10.1109/66.492811
[9] Moniwa A, Terasawa T, Nakajo K, et al. Heuristic method for phase-conflict minimization in automatic phase-shift mask design. Jpn J Appl Phys, 1995, 34: 6584–6589 · doi:10.1143/JJAP.34.6584
[10] Otto O W, Garofalo J G, Low K K. Automated optical proximity correction – a rules-based approach. SPIE, 1994, 2197: 278–294 · doi:10.1117/12.175422
[11] Shi R, Cai Y C, Hong X L, et al. Important works about rules in rules-based optical proximity correction. Chin J Semicond, 2002, 23(7): 701–706
[12] Shioiri S, Tanabe H. Fast optical proximity correction: Analytical method. SPIE, 2440: 261–268
[13] Yang C Q, Hong X L, Wu W M, et al. An object-based approach to optical proximity correction. In: Proceedings of the 4th International Conference on ASIC. New York: Wiley-IEEE Press, 2001. 206–209
[14] Wang Y, Cai Y C, Hong X L, et al. Algorithms for yield driven correction of layout. In: Proceedings of the International Symposium on Circuits and Systems. New York: Wiley-IEEE Press, 2004. 241–244
[15] Yan X L, Shi Z, Chen Y, et al. Full-IC manufacturability check based on dense silicon imaging. Sci China Ser F-Inf Sci, 2005, 48(4): 533–544 · doi:10.1360/04yf0115
[16] Hopkins H H. Concept of partial coherence in optics. Proc Royal Soc London, 1951, A208: 263–277 · Zbl 0043.20105
[17] Yan X L, Chen Y, Shi Z, et al. A new dissection method for model based frugal OPC. In: Asia South Pacific Design Automation Conference. New York: Wiley-IEEE Press, 2005. 83–86
[18] Yang J, Cohen E, Tabery C. An up-stream design auto-fix flow for manufacturability enhancement. In: Design Automation Conference. New York: Wiley-IEEE Press, 2006. 73–76
[19] Yu P, Shi S X, Pan D Z. Process variation aware OPC with variational lithography modeling. In: Design Automation Conference. New York: Wiley-IEEE Press, 2006. 785–790
This reference list is based on information provided by the publisher or from digital mathematics libraries. Its items are heuristically matched to zbMATH identifiers and may contain data conversion errors. In some cases that data have been complemented/enhanced by data from zbMATH Open. This attempts to reflect the references listed in the original paper as accurately as possible without claiming completeness or a perfect matching.