SiHGNN: Leveraging Properties of Semantic Graphs for Efficient HGNN Acceleration

R Xue, M Yan, D Han, Z Tang, X Ye, D Fan�- arXiv preprint arXiv�…, 2024 - arxiv.org
arXiv preprint arXiv:2408.15089, 2024arxiv.org
Heterogeneous Graph Neural Networks (HGNNs) have expanded graph representation
learning to heterogeneous graph fields. Recent studies have demonstrated their superior
performance across various applications, including medical analysis and recommendation
systems, often surpassing existing methods. However, GPUs often experience inefficiencies
when executing HGNNs due to their unique and complex execution patterns. Compared to
traditional Graph Neural Networks, these patterns further exacerbate irregularities in�…
Heterogeneous Graph Neural Networks (HGNNs) have expanded graph representation learning to heterogeneous graph fields. Recent studies have demonstrated their superior performance across various applications, including medical analysis and recommendation systems, often surpassing existing methods. However, GPUs often experience inefficiencies when executing HGNNs due to their unique and complex execution patterns. Compared to traditional Graph Neural Networks, these patterns further exacerbate irregularities in memory access. To tackle these challenges, recent studies have focused on developing domain-specific accelerators for HGNNs. Nonetheless, most of these efforts have concentrated on optimizing the datapath or scheduling data accesses, while largely overlooking the potential benefits that could be gained from leveraging the inherent properties of the semantic graph, such as its topology, layout, and generation. In this work, we focus on leveraging the properties of semantic graphs to enhance HGNN performance. First, we analyze the Semantic Graph Build (SGB) stage and identify significant opportunities for data reuse during semantic graph generation. Next, we uncover the phenomenon of buffer thrashing during the Graph Feature Processing (GFP) stage, revealing potential optimization opportunities in semantic graph layout. Furthermore, we propose a lightweight hardware accelerator frontend for HGNNs, called SiHGNN. This accelerator frontend incorporates a tree-based Semantic Graph Builder for efficient semantic graph generation and features a novel Graph Restructurer for optimizing semantic graph layouts. Experimental results show that SiHGNN enables the state-of-the-art HGNN accelerator to achieve an average performance improvement of 2.95.
arxiv.org