Incremental multiple-scan chain ordering for ECO flip-flop insertion

AB Kahng, I Kang, S Nath�- 2013 IEEE/ACM International�…, 2013 - ieeexplore.ieee.org
2013 IEEE/ACM International Conference on Computer-Aided Design�…, 2013ieeexplore.ieee.org
Testability of ECO logic is currently a significant bottleneck in the SOC implementation flow.
Front-end designers sometimes require large functional ECOs close to scheduled tapeout
dates or for later design revisions. To avoid loss of test coverage, ECO flip-flops must be
added into existing scan chains with minimal increase to test time and minimal impact on
existing routing and timing slack. We address a new Incremental Multiple-Scan Chain
Ordering problem formulation to automate the tedious and time-consuming process of scan�…
Testability of ECO logic is currently a significant bottleneck in the SOC implementation flow. Front-end designers sometimes require large functional ECOs close to scheduled tapeout dates or for later design revisions. To avoid loss of test coverage, ECO flip-flops must be added into existing scan chains with minimal increase to test time and minimal impact on existing routing and timing slack. We address a new Incremental Multiple-Scan Chain Ordering problem formulation to automate the tedious and time-consuming process of scan stitching for large functional ECOs. We present a heuristic with clustering, incremental clustering and ordering steps to minimize the maximum chain length (test time), routing congestion, and disturbance to existing scan chains. Test times for our incremental scan chain solutions are reduced by 5.3%, and incremental wirelength costs are reduced by 45.71%, compared to manually-solved industrial testcases.
ieeexplore.ieee.org
Showing the best result for this search. See all results